Остановка

Оглавление


Описание

Данная вкладка содержит настройки условий, при наступлении которых проект прекратит выполнение.


Количество успехов

Проект остановит выполнение после того, как успешно выполнится указанное в этой настройке количество раз.

По умолчанию: -1(минус один; бесконечно) - не учитывать успехи.

Количество неуспехов подряд

Проект остановит выполнение после того, как завершится с ошибкой указанное в этой настройке количество раз подряд.

Счётчик неуспехов подряд сбрасывается после того, как шаблон хоть один раз выполнится успешно.
В таблице проектов по умолчанию выключена колонка “Неуспехи подряд”, но Вы можете её включить. Как это сделать описано в статье Таблице проектов.

По умолчанию: -1(минус один; бесконечно) - не учитывать неуспехи подряд.

Таймаут выполнения (секунды)

Время отведённое на одно выполнение проекта. Если по истечении этого времени проект не завершил работу, то он будет прерван, независимо от того, в каком месте выполнения сейчас находится шаблон.

У Вас есть шаблон и Вы знаете, что он выполняется, примерно, 6-8 минут.
В настройку “Таймаут выполнения” можно выставить 600 секунд (10 минут, с небольшим запасом). И если вдруг шаблон по какой-то причине завис посреди работы, то через 600 секунд после начала выполнения он будет прерван.

Выполнить BadEnd при прерывании проекта

Если включена данная настройка и Вы прервали проект с помощью соответствующей кнопки или был достигнут “Таймаут выполнения” (описано абзацем выше), то выполнение проекта перейдёт на BadEnd.

Полезные ссылки